Фракталы и Хаос (Б.Б. Мандельрот)

Мандельброт Б.Б. — Фракталы и хаос — 2009.djvu Детальная информация Издательство: НИЦ «Регулярная и хаотическая динамика» УдГУ, 2009 г. Мягкая обложка, 400 стр. Формат книги: 60×84/16 Размер (в×ш): 205×145 (маленькая) ISBN: 978-5-93972-772-3 Язык: Русский Немногим более двадцати лет минуло с тех пор, как Бенуа Мандельброт

Intel 3D XPoint

  На специальной пресс-конференции главный вице-президент Intel, Роб Крук (Rob Crooke), и главный управляющий Micron, Марк Дуркан (Mark Durcan), представили принципиально новый тип архитектуры хранения (памяти) под называнием 3D XPoint (читается: кросс-пойнт, Cross Point). Поскольку обещана скорость в 1000 раз больше флэш-памяти NAND (логическое НЕ-И),

Synopsys Design Constraint — язык задания временных ограничений на примере Altera TimeQuest. Часть 1

  Шехалев Денис Разработка устройств на базе ПЛИС — всегда борьба двух противоположных сторон: функциональности, заложенной разработчиком, и возможностей ПЛИС по ресурсу/производительности. Мерой оценки готовности устройства является его работоспособность во всем диапазоне условий, определенных техническим заданием на разработку. Все статьи цикла: Synopsys Design Constraint —

Synopsys Design Constraint — язык задания временных ограничений на примере Altera TimeQuest. Часть 2

  Шехалев Денис В предыдущей части мы рассмотрели основы временного анализа с помощью TimeQuest на примере простого проекта, состоящего из одного счетчика. В том проекте был всего один домен тактовой частоты. Но большинство проектов содержат большее количество доменов тактовых частот, с различными механизмами взаимодействия между ними.

Synopsys Design Constraint — язык задания временных ограничений на примере Altera TimeQuest. Часть 3

  Шехалев Денис В предыдущих частях статьи мы рассмотрели основы временного анализа и научились задавать временные ограничения тактовых частот различных проектов. Но рассмотренные примеры не содержали задание временных ограничений для интерфейсов ввода/вывода, что представляет собой наибольшие сложности при разработке sdc-файла. Все статьи цикла: Synopsys Design

Synopsys Design Constraint — язык задания временных ограничений на примере Altera TimeQuest. Часть 4

Шехалев Денис В предыдущих частях статьи мы рассмотрели все аспекты задания временных ограничений для проектов: задание частот, их соотношений, портов ввода/вывода. Но информация о временных ограничениях будет не полной без рассмотрения так называемых исключений временных ограничений. Все статьи цикла: Synopsys Design Constraint — язык задания

Все Новости ВКонтакте!!

  Теперь все новости и новшества можно узнать ВКонтакте группы !! https://vk.com/electroprog_ru Добро пожаловать!!! Полетели!!! 5 941

Интерполяция: рисуем гладкие графики средствами PHP и GD

Распространенной задачей для программиста является рисование графиков. Входными данными является массив точек (xi;yi). Как правило, мы знаем только некоторые значения — в определенных точках графика. Чтобы построить непрерывный график кривой необходимо прибегнуть к интерполяции или аппроксимации.Интерполяция — построение кривой, проходящей через заданные точки. Аппроксимация —

Числа-гиганты

  Числа-гиганты Научно-популярное* В этой статье я хочу поделиться с вами некоторыми впечатляющими фактами из окружающего нас мира. Мы рассмотрим по-настоящему большие и даже гигантские числа, с которыми можем столкнуться либо в реальности (порой сами того не замечая), либо в расчетах, говорящих кое-что важное о

© 2014-2024 ElectroProg Все права защищены!

↓
↓